public boolean canApply(Applicant applicant) {
    var degrees = Set.of("Computer Science", "Informatics", "ICT");
    return (applicant.isBachelor() || applicant.isMaster()) &&
            degrees.contains(applicant.getDegree());
}

Sigasi develops tools that make chip designers more productive. We offer a suite of integrated development environments (IDE) and a command line tool. Our tools focus on the two most widely used hardware description languages: VHDL and SystemVerilog.

We aim to make our products easy to use. We believe that customers should be productive in a matter of hours without even consulting the manual. To achieve this, we need customer feedback. Sadly, in the chip design industry, companies are rather secretive, and the communication between Sigasi and its customers often goes silent after purchasing.

As a solution, Sigasi hands out free licenses on the condition that the user enables “Talkback.” Talkback allows our products to send back stack traces triggered by the user so we can fix bugs, and usage information, which can be analyzed to better understand the user. This helps us prioritize feature development and indicates which workflows need to be improved.

In this internship, you will enhance our analysis of end-user patterns in order to improve their experience and guide our development roadmap. You will:

  • make sure relevant user actions are recorded and sent back to us,
  • add talkback messages from our command line tool,
  • enhance the existing messages with valid and consistent information,
  • enhance visualizations of the newly analyzed data, and
  • integrate user actions with the existing stack trace analysis to create a better understanding of what the user is doing before they encounter an issue.