Sigasi develops a suite of Integrated Development Environments (IDEs) for digital design in SystemVerilog, Verilog or VHDL. The Sigasi IDEs provide the digital designer with all the facilities that are typically reserved for software developers such as syntax checking, navigation, project management, refactoring and autocompletion.

public boolean canApply(Applicant applicant) {
    var degrees = Set.of("Computer Science", "Informatics", "ICT");
    return (applicant.isBachelor() || applicant.isMaster()) &&
            degrees.contains(applicant.getDegree());
}

In this internship, we provide you with the opportunity to participate in the core development of the Sigasi tools. Depending on your skill level you will be responsible for such things like fixing small bugs all the way up to improving the UI experience, detecting invalid VHDL, Verilog, or SystemVerilog constructs, dealing with performance issues, improving the tools’ compatibility with the language standards, …

A screenshot of Sigasi studio