Contact us Start a Trial

Supported Compilers

New Sigasi projects are an experimental feature and should be explicitly enabled by the sigasi.project.enableSigasiProjectSupport setting.

SVH intercepts compiler invocations in Sigasi project scripted target commands and interprets their options. Here is the list of compiler executables that are intercepted, and options that are supported by SVH:

Synopsys VCS

vcs

Supported options:

OptionDescription
-work, -wSpecifies logical library
-f, -F, -fileSpecify a file that contains a list of source files and compile-time options
+define+Defines a text macro.
+undefine+Undefines a text macro in your source code that is already defined.
+incdir+Specifies the directories that contain the files you specified with the `include compiler directive.
+libext+Specifies that VCS only search the source files in a Verilog library directory with the specified extension
+liborderSpecifies searching for module definitions in the libraries that follow
+librescanSpecifies always starting the search for unresolved module definitions with the first library specified on the vcs command line
+systemverilogext+Specifies a filename extension for source files containing SystemVerilog source code
+v2kEnables the use of new Verilog constructs in the 1364-2001 standard
+verilog1995ext+Specifies a filename extension for source files containing Verilog 1995 source code
+verilog2001ext+Specifies a filename extension for source files containing Verilog 2001 source code
+sysvcs, -sverilogEnables the analysis of SystemVerilog source code.
-v95Specifies not recognizing Verilog 2001 keywords.
-vSpecifies a Verilog library file to search for module definitions
-ySpecifies a Verilog library directory to search for module definitions
-ntb_optsCompiles or references the UVM library

vlogan

Same as vcs

vhdlan

Supported options:

OptionDescription
-work, -wSpecifies logical library
-f, -F, -fileSpecify a file that contains a list of source files and compile-time options
-vhdl87Enables to analyze non-portable VHDL code that contains object names that are now VHDL-93 reserved words by default
-vhdl02Enables to analyze the VHDL 2002 protected type
-vhdl08Enables to analyze the VHDL 2008 constructs
-smart_orderAutomatically identify the file order dependencies

Siemens Questa

qrun

Supported options:

All vcom and vlog options plus:

OptionDescription
-F, -f, -fileSpecify a file containing more command line arguments
-defaultHDLCompilerDetermines which HDL compiler, vlog or vcom, to associate with HDL source files that do not have an extension
-vlog.extSpecify file extensions for the tool. A ‘+’ adds to the current list
-vcom.extSpecify file extensions for the tool. A ‘+’ adds to the current list
-makelibCompile the Verilog and VHDL files into library ’libname’
-endlib, -endTerminate the list of library files
-defineallDefine <macro> for all compilers

qverilog

Supported options:

Same as qrun

vsim

Supported options:

OptionDescription
-doExecute <command> on startup; <command> can be a macro filename

Supported TCL commands

CommandSupported options
infonameofexecutable
vsim-version
vlogSame as vlog compiler
vcomSame as vcom compiler
vlibAll invocations are ignored
vmapAll invocations are ignored

As well as vsimVersionString, batch_mode, echo, quit, onerror, and onElabError

vlog

Supported options:

OptionDescription
-F, -f, -fileSpecify a file containing more command line arguments
-sfcuSingle-file compilation unit (default)
-mfcuMulti-file compilation unit
-incdir, +incdir+Search directory for files included with `include “filename”
-define, +define+Same as compiler directive: `define macro_name macro_text
-undefSame as compiler directive: `undefine macro_name
-nooverrideundefDo not ignore `undef if the macro is defined using +define option.
-svEnable SystemVerilog features and keywords
-svfilesuffixFilename extensions for SystemVerilog code
-vSpecify Verilog source library file
-ySpecify Verilog source library directory
+libext+Specify suffix of files in library directory
+librescanScan libraries in command line order for all unresolved module references
-workSpecify library WORK

vcom

Supported options:

OptionDescription
-F, -f, -fileSpecify a file containing more command line arguments
-87Enable support for VHDL 1076-1987
-93Enable support for VHDL 1076-1993
-2002Enable support for VHDL 1076-2002
-2008Enable support for VHDL 1076-2008
-2019Enable support for VHDL 1076-2019
-workSpecify library WORK
-autoorderSource files can be specified in any order

vlib

All invocations are ignored

vmap

All invocations are ignored

vopt

All invocations are ignored

Cadence Xcelium

xrun

Supported options:

OptionDescription
-vlog_ext, -vlogext, +vlog_ext+,
+xmvlog_ext+, +ncvlog_ext+,
+verilog2001ext+
Override/Add extensions for Verilog sources
-vlog95_ext, +xmvlog95_ext+,
+ncvlog95_ext+, +verilog1995ext+
Override extensions for Verilog95 sources
-sysv_ext, -systemverilog_ext,
+sysv_ext+, +xmsysv_ext+,
+ncsysv_ext+, +systemverilog_ext+,
+systemverilogext+
Override extensions for SystemVerilog sources
-vhdl_ext, -vhdlext, +vhdl_ext+,
+xmvhdl_ext+, +ncvhdl_ext+
Override/Add extensions for VHDL sources
-vhcfg_extOverride extensions for VHDL config files
-e_ext, +xme_ext+, +nce_ext+Override extensions for e sources
-amsv_ext, -amsvlog_ext,
+xmamsv_ext+, +ncamsv_ext+
Override extensions for Verilog-AMS sources
-svams_extOverride extensions for SystemVerilog-AMS sources
-amsvhdl_extOverride extensions for VHDL AMS sources
-propvlog_ext, +propvlog_ext+,
+xmpropvlog_ext+, +ncpropvlog_ext+,
+propext+
Specify extensions to consider when searching PSL file for Verilog
-propvhdl_ext, +propvhdl_ext+,
+xmpropvhdl_ext+, +ncpropvhdl_ext+
Specify extensions to consider when searching PSL file for VHDL
-propsc_ext, +propssc_ext+,
+xmpropsc_ext+, +ncpropsc_ext+
Specify extensions to consider when searching PSL file for SystemC
-c_ext, -ccext,
+xmc_ext+,+ncc_ext+
Override/Add extensions for C sources
-cpp_ext, -cxxext,
+xmcpp_ext+, +nccpp_ext+
Override/Add extensions for C++ sources
-as_ext, -asext,
+xms_ext+, +ncs_ext+
Override/Add extensions for assembly files
-o_ext, -objext,
+xmo_ext+, +nco_ext+
Override extensions for object files
-a_ext, +xma_ext+, +nca_ext+Override extensions for archive files
-dynlib_ext, +xmdynlib_ext+,
+ncdynlib_ext+
Override extensions for dynamic library files
-spice_extOverride extensions for SPICE sources
-default_ext, +xmdefault_ext+,
+ncdefault_ext+
Override the default extension map
-sv, +svForce SystemVerilog compilation
-file, -f, -relfileScan file for args relative to xrun invocation (-f) or file location (-F)
-discapf, +xmdiscapf, +ncdiscapfDisable the capital F input file mode
-work, +work+Library for command line Source
-makelib, +xmmakelib+, +ncmakelib+Compile HDL files into specified library
-endlib, +xmendlib, +ncendlibTerminate the list of library files
-v93, +xmv93, +ncv93Enable VHDL93 features
-v200x, +xmv200x, +ncv200xEnable VHDL200X and VHDL93 features
-incdir, +incdir+Specify directories to search for `include files
-define, +define+Define a macro from command line
-scutreat each netlist file as a compile unit
-vSpecify a library file to be used
-ySpecify a library directory to be used
-libext, +libext+Specify extensions to be used for the -y search
-liborder, +liborderLibrary search rule (see documentation)
-librescan, +librescanLibrary search rule (see documentation)
-hdlvar, +xmhdlvar+,
+nchdlvar+, +hdlvar+
Specify an hdl.var file to be used